blob: 078e2d5f32e1852cf652e5b6988cd0ca3e97d1a3 [file] [log] [blame]
# SPDX-License-Identifier: GPL-2.0-only
lib-y := usercopy.o delay.o